A 回答 (1件)
- 最新から表示
- 回答順に表示
No.1
- 回答日時:
これを24進に変更してみて下さい。
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.std_logic_unsigned.all;
entity MC14510B is
port (
signal pe : in std_logic;
signal ci_not : inout std_logic;
signal reset : in std_logic;
signal updown : in std_logic;
signal clk : in std_logic;
signal p : in std_logic_vector (3 downto 0);
signal q : out std_logic_vector(3 downto 0);
signal co_not : inout std_logic
);
end;
architecture myarch of MC14510B is
begin
process(pe, ci_not, reset, updown, clk)
variable qtemp : std_logic_vector(3 downto 0);
variable cotemp : std_logic;
begin
if reset = '1' then
qtemp := "0000";
elsif clk'event and updown = '1' and ci_not = '1' then
if qtemp < 15 then
qtemp := qtemp + 1;
cotemp := '1';
else
qtemp := "0000";
cotemp := '0';
end if;
elsif clk'event and updown = '0' and ci_not = '1' then
if qtemp > 0 then
qtemp := qtemp - 1;
cotemp := '1';
else
qtemp := "0000";
cotemp := '0';
end if;
elsif ci_not = '0' then
qtemp := "1010";
cotemp := '1';
else
if pe = '1' then
p <= qtemp;
cotemp := '1';
else
qtemp := qtemp;
cotemp := '1';
end if;
end if;
q <= qtemp;
co_not <= cotemp;
end process;
end myarch;
お探しのQ&Aが見つからない時は、教えて!gooで質問しましょう!
似たような質問が見つかりました
- その他(コンピューター・テクノロジー) 量子コンピュータの動作原理がわかりません。同じビットが、1でも0でも有って良いだろうか? 3 2023/02/04 03:20
- 英語 描写述語の条件(本来の性質、一時的な属性、又は両方)について 2 2022/07/25 13:41
- 工学 【至急】非同期式10進カウンタの4入力NANDのA,C入力配線を外しても同じく10進で動作するか 2 2022/09/30 03:05
- Visual Basic(VBA) VBA言語プログラミング 1 2022/11/19 17:16
- 高校 読解力をつけたい 2 2023/06/10 15:11
- 工学 図の11進数アップカウンタの回路ではTフリップフロップ回路が4個使用されているが、11進数のカウンタ 3 2022/06/20 11:28
- C言語・C++・C# ごめんなさい。 3 2022/09/20 22:26
- 陸上 下記の条件を全て満たすおすすめのフルマラソンの大会を教えて欲しいです。 できればおすすめする理由も教 1 2022/06/19 15:37
- 大学受験 あなたの全統模試の最も良かったときの偏差値を教えてください。 俺のは2年前ですが全統記述模試の最も良 1 2022/06/01 19:55
- 高校 先日、高3進研模試記述式を受けました。4教科は2年の時に比べてよくできた気がしますが、英語だけが確実 2 2022/07/07 12:14
関連するカテゴリからQ&Aを探す
おすすめ情報
デイリーランキングこのカテゴリの人気デイリーQ&Aランキング
-
C#とC++とJavaが学べる書籍につ...
-
Pythonって何を意識した言語な...
-
プログラムに書かれる"%"記号の...
-
C言語、C+、C++、C#の違い
-
質問失礼します。 プログラム言...
-
最新のプログラム言語を学ぶに...
-
UWSCはどのプログラミング言語?
-
rpa化する言語としてら何があり...
-
C言語とhtmlの違いを どな...
-
VBScriptで引数を省略したい場合
-
COBOLでのNOT = の AND条件
-
COBOLで文字タイプを数字...
-
楽しくて最高のプログラミング...
-
HTMLてインタプリタの類になる?
-
UNITY Float型の接尾辞fって
-
vbaとc言語の関連性について
-
TO_CHARで小数点以下がある場合...
-
メダルゲームのプログラム
-
FORTRANと他の言語(c、c++、ba...
-
VCとVC++
マンスリーランキングこのカテゴリの人気マンスリーQ&Aランキング
-
C言語、C+、C++、C#の違い
-
楽しくて最高のプログラミング...
-
Pythonって何を意識した言語な...
-
C言語とhtmlの違いを どな...
-
プログラムに書かれる"%"記号の...
-
COBOLでのNOT = の AND条件
-
C++ ってなんて読む?
-
質問失礼します。 プログラム言...
-
プログラミング言語の制作方法...
-
UNITY Float型の接尾辞fって
-
C言語って古いですか?
-
Int('1234') で、strをかんたん...
-
COBOLで文字タイプを数字...
-
TO_CHARで小数点以下がある場合...
-
最新のプログラム言語を学ぶに...
-
C++における継続行
-
vbaとc言語の関連性について
-
VBScriptで引数を省略したい場合
-
VBSでDim、Private、Publicの違い
-
HTMLとC++で、どんなホームペー...
おすすめ情報