VHDLについてですが何がおかしいのでしょう?
下記をSynthesize実行すると「 Line 22. rol can not have such operands in this context.」という表示がでます。どういうことなのでしょうか?ソースは本のサンプルどおりのはずなのですが・・。(VHDLとCPLDによるロジック設計入門)という本の126Pのリスト6.12です。
CPLDでザイリンクスWebpackV6.31を使っています。
=====================
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity shift2 is port(
SW : in unsigned(1 to 4);
SW2,SW3 : in std_logic;
LED : out unsigned(5 downto 2));
end shift2;
architecture RTL of shift2 is
signal S : integer;
begin
S <= CONV_INTEGER( not (SW3 & SW2));
LED <= SW rol S; ------------------------------Line22
end RTL;
No.1ベストアンサー
- 回答日時:
「rol」の演算子が読み込まれていないようですね。
パッケージの呼び出しはしているので、パスが通っていないのか、パッケージが壊れているのか分かりません。
私の環境では上記のコードで問題無くフィッティングまで行けましたので、
ソフトの再インストールをするかバージョンを上げるといった方法が良いかもしれません。
的確な回答でなく、申し訳ありませんが、ご参考になれば幸いです。
お探しのQ&Aが見つからない時は、教えて!gooで質問しましょう!
似たような質問が見つかりました
- その他(SNS・コミュニケーションサービス) 自分のpcがハッキングされたようなメールが来たのですがどうすればいいですか? 4 2022/10/02 16:14
- YouTube youtubeからの著作権メールについて 2 2023/02/14 16:12
- C言語・C++・C# [至急]Project Euler:#17Number letter countsコード入力出力解説 2 2022/09/24 02:46
- 英語 The Twilight Zone1959に関するCBSの回答について 1 2023/03/02 15:13
- 戦争・テロ・デモ ウクライナ、メル友に五千円要求されてさ 1 2022/04/02 09:38
- TOEFL・TOEIC・英語検定 英検準1級英作文の添削をお願いします。 ポイントはCurriculumとGlobalizationを 4 2023/05/29 11:33
- MySQL 参考書に従って入力したつもりでしたが、最後はエラーがでました。 1 2022/09/28 03:45
- 英語 できるだけ直訳で英語の翻訳をお願いします。(英語→日本語) 1 2022/10/15 20:59
- 英語 この英文は格調高いのでしょうか? 3 2022/06/03 18:55
- MySQL テーブル作成です。どこかのスペルが間違っているか記号など スペースかな? 1 2022/10/01 05:08
関連するカテゴリからQ&Aを探す
おすすめ情報
デイリーランキングこのカテゴリの人気デイリーQ&Aランキング
-
プログラマーと学歴の関係性に...
-
プログラミングを教えたいです...
-
vba クリップボードクリアにつ...
-
小学1年生の子です。塾に行かせ...
-
楽しくて最高のプログラミング...
-
プログラミング、アーキテクチ...
-
Windowsのアプリ開発ってなんの...
-
プログラミング
-
Google ColaboでGUI作成
-
Pythonでgif画像が上手く作れない
-
ExcelVBAでFormulaR1C1を列範囲...
-
昔のパソコン少年の武勇伝「店...
-
IT業界より楽に稼げる業界って...
-
chatGPTで次々と質問をしていく...
-
初心者が簡単なPowerShellスク...
-
python の 連鎖代入の文法
-
windowsでテキストファイルの各...
-
matplotlibで任意の角度の円弧...
-
プログラミングで例えばゲーム...
-
ものづくりに向いているプログ...
マンスリーランキングこのカテゴリの人気マンスリーQ&Aランキング
-
VHDLについてですが何がお...
-
Perl/TkのWWW::MechanizeのGET...
-
LWP::Simpleでのgetについて
-
Perl/TkでURLを既定のブラウザ...
-
LWPでHTMLが取得できないことが...
-
XML::XPathを使う
-
URI.pmの使い方を教えてくださ...
-
Perlでgoogle newsのRSSを取得...
-
mechanizeのタイムアウト処理に...
-
例外処理のフローチャートの記...
-
Excel VBAでリンク切れをチェッ...
-
ユーザー定義関数に#NAME?が返...
-
VBAで別モジュールへの変数の受...
-
"JScript"が見つかりません!
-
VBSがコンパイルエラーになりま...
-
VBでグローバル変数を宣言するには
-
エクセルVBAでシートモジュール...
-
「デバイスは PRN を初期化でき...
-
VBA This Workbookモジュール...
-
モジュールの最大数はいくつな...
おすすめ情報