プロが教える店舗&オフィスのセキュリティ対策術

VHDLを勉強しようと思い、シミュレータを探しています。できれば無償でつかえるシミュレータが良いのですが、何かありますでしょうか?
また、ModelSimとQuartusIIの違いについて教えてください。

A 回答 (1件)

私もVHDLの勉強を始めたばかりです。

無償で使えるシミュレータとしては、ModelSimのザイリンクス版があります(参考URLに書いておきます)。

その他にもいろいろありますので、
http://www.cqpub.co.jp/dwm/editors/sn/freeeda/fr …
で確認してみてください。

QuartusIIはアルテラ製PLDデバイス用ののツールです。
ModelSimでシミュレーションし、(アルテラのPLDを使用するのであれば)QuartusII等で書き込みをするという流れになるかと思います。QuartusIIでも、シミュレーションは出来ますので、まずはQuartusIIでやってみるのもいいと思います。

お互い、頑張りましょう!

参考URL:http://www.xilinx.co.jp/ise/verification/mxe_det …
    • good
    • 0

お探しのQ&Aが見つからない時は、教えて!gooで質問しましょう!