dポイントプレゼントキャンペーン実施中!

カンマ演算子

の検索結果 (10,000件 181〜 200 件を表示)

C#で、デリゲートからその関数の名前を取得する方法

…次のコードを見てください。コメントにあるように デリゲートを引数とする関数内部で、そのデリゲートが受け取った関数の関数自身の名前を取得しようとしています。どうすればいいでし...…

解決

浮動小数点演算を固定小数点演算へ変換する

…あるプログラムの処理を高速化するために浮動小数点数を固定小数点数に変換したいので、皆様の力を貸してください。 以下のようなプログラムがあります。 -------------------------------------...…

解決

文字列分割

…カンマを含む項目があります。カンマまでの文字列を抽出したいです。なお、カンマの個数は未定です。 例えば A aaa,bbb,ccc ↓ P Q R aaa bbb ccc ※A,P,Q,Rは項目名 となるような、テー...…

解決

電卓 カンマを表示させたい

…win7を使っています。 電卓をよく使うのですが、カンマを付ける事ができません。 デフォルトで非表示にされてるのでしょうか? カンマを付けて数字を表示する方法を教えてくださ...…

解決

派生クラスから基本クラスprotectedメンバへのアクセスについて

…C++初心者です。 以下のような基本クラスcoordと、その派生クラスquadがあり、quadクラスに関して=演算子をオーバーロードしました。 class coord { protected: int x, y; }; class quad : public coord {...…

解決

PowerPointの表内のカンマ

…PowerPoint2002で表を挿入した後に、表に数字のデータを入れました。 例えば「20,000」というように「,」カンマを入れたいのですが、エクセルみたいにボタンひとつで表内のセルのデータすべ...…

解決

エクセル:セル内の文字列の最後の「,]を消したい。

…エクセル:セル内の文字列の最後の「,]を消したい。 以下のように、数字(1桁または2桁)がカンマでわかれたデータがあります。 この文字列の中で、一番最後の「,」だけ消したいので...…

解決

エクセル 特定のセルのみ0を表示しない

…エクセル2003です。 ある範囲、例えば C5からE10までに入力された数値のうち、0値は表示させたくないときは、「セルの書式設定」「ユーザー定義」で 0;0; とすればできます...…

解決

量子力学の記述での交換子関係とは何ですか?状態を定義する時に重要な項目としてあるみた...

…量子力学の記述での交換子関係とは何ですか?状態を定義する時に重要な項目としてあるみたいなのですが。結構大事な要素って感じです。…

解決

算術シフト演算が成り立つ理由がイマイチ・・・

…算術シフト演算で右シフトにしても左シフトにしてもシフトで空いたビットに符号ビットと同じ物を入れたり0を入れたり、溢れたビットを破棄したり・・・ というのがどうして成り立つの...…

締切

onClickで関数呼出し後に、結果に応じてsubmitを実行する方法

…JavaScriptで、 function func() {  if (a==0) {   alert("処理しない");   return false;  }  return true; } と、a=0ならfalseを返し、それ以外ならtrueを返す関数を定義しています。 そして、FORMタグ...…

解決

C言語 行列の和

…C言語 行列の和 ご覧いただき有難うございます。 久しぶりにC言語の復習をしようと簡単な行列の計算を書いてみたのですが、思うような結果になりませんでした。 昨日から考えていた...…

解決

【VBA】条件に一致したセル値をカンマ区切りで結合したい

…VBA初心者です。 添付の画像の商品リストがあり、C1からG1に出荷コードがあります。 商品データには出荷コード毎に”○”が記載されており、”○”の場合、対応する出荷コードを結合し...…

解決

ワードで表を作って、中に入れる数字にカンマが自然に入る方法

…通常はエクセルを使用しているのですが、上司の下請けで文書を作るのに、「ワードでお願い。」といわれ、作成していたところ、先に表の枠だけ作って、あとでどんどん数字を入れていこ...…

解決

Reluの誤差逆伝播法の行列演算

…初歩的な質問なのですが、Reluの誤差逆伝播法の行列演算がよくわかりません。 http://qiita.com/Ugo-Nama/items/04814a13c9ea84978a4c ここの「勾配消失とRectifier Linear Unit」を参考に書いているのですが、...…

締切

PL/SQLのIN演算子で使う文字列の宣言について

…PL/SQLの変数の宣言の仕方について質問です!! PL/SQLで変数と変数の使用について質問があります。 PL/SQL内部でUPDATEなどを分を作成する際の、その要素を使用部に変数で格納したいと思っ...…

締切

プログラム言語FortranとCの違いについて

…FortranとCの相違点ですが、言語仕様という意味ではなく、置き換えが効かない、すなわち片方にはあるが片方にはない、という違いについてお尋ねします。 それはビット演算ということです...…

解決

高性能なビデオカードのGPUをCPUにしちゃったら?

…1秒間の浮動小数点演算回数をFLOPSという単位で表し、スーパーコンピュータの性能指標となっていますが、我々に身近なデバイスのFLOPS値としては以下のようなものがありますね。 ・Core 2 ...…

解決

掛け算演算を使わない掛け算

…java初心者です。 2つの正の整数を入力して、それらを掛け合わせた答えを表示したのですが、 掛け算演算を使わないということになると、どういうソースを書けばいいんでしょうか。…

解決

C言語について。

…整数型で宣言していて、変換するときにキャスト演算だけで浮動小数点に直すことは、ないのでしょうか?キャスト演算同士(式)で、初めて浮動小数点に直すということでしょうか?教えて...…

解決

検索で見つからないときは質問してみよう!

Q質問する(無料)