verilog HDLについての質問です。
4ビットの加算器の回路を設計したのですが
module adder_4(a,b,cin,s,cout);
input a, b, cin;
output s, cout;
reg s, cout;
always @(a or b or cin)
begin
s = a ^ b ^ cin;
cout = (a & b) | (b & cin) | (cin & a);
end
endmodule
`timescale 1 ns / 1 ns
module adder_4_test;
reg [3:0]a,b;
reg cin
wire [7:0]s;
wire cout;
adder_4 _test(a,b,cin,s,cout);
initial begin
$dumpfile("adder_4_test.vcd");
$dumpvars(0);
$monitor("%t %b %b %b %b %b",$time,a,b,cin,s,cout);
a<=4'b0000;
b<=4'b0000;
cin<=1'b0;
#40 a<=4'b0110;
#40 b<=4'b0011;
#40 a<=4'b0010;
#40 b<=4'b0101;
#40 $finish;
end
endmodule
こちらをターミナルで実装したところ、このようにでてきたのですが、
adder_4.txt:19: syntax error
adder_4.txt:19: error: invalid module item.
こちらはどのように対処したら良いのでしょうか?ご回答の方よろしくお願いします
A 回答 (2件)
- 最新から表示
- 回答順に表示
No.2
- 回答日時:
ところで、この論理おかしいよ。
adder_4って4ビット加算器ではなくて、1ビットの全加算器でしょ。
テストベンチでadder_4をインスタンスしてるけど、4ビットの信号を1ビットのポートにつなげてるから論理的におかしい。
adder_4を4つ置いて、キャリーをつなげないと4ビット加算器としては動作しません。
お探しのQ&Aが見つからない時は、教えて!gooで質問しましょう!
似たような質問が見つかりました
- C言語・C++・C# C++初心者です stirng 2 2022/09/20 20:43
- C言語・C++・C# C++のcinの動作 5 2023/02/26 00:13
- C言語・C++・C# C++のcase文の書き方 4 2023/02/24 20:50
- がん・心臓病・脳卒中 子宮頸がん検診をうけました。軽度異形成、CIN+HPVハイリスク(16+他+)と書いた紙を渡されまし 4 2023/07/21 09:34
- C言語・C++・C# C++プログラミングコードにポリモーフィズムを取り入れ方を教えてください。 2 2023/06/09 11:17
- JavaScript [再掲]指定したパスが現URLに含まれていたら特定要素を削除するJavascriptのコードについて 1 2023/05/10 15:09
- デスクトップパソコン Windows11がインストールできません(自作PC) パッケージ版Windows11がインストール 3 2022/08/06 16:22
- その他(プログラミング・Web制作) VScodeでpythonプログラムの関数を実行したい 2 2022/07/13 19:24
- MySQL 参考書に従って入力したつもりでしたが、最後はエラーがでました。 1 2022/09/28 03:45
- 工学 エミッタ接地増幅回路の設計 大学の実験で回路設計をするのですが、条件に最大対象振幅5Vp-p、電圧利 1 2023/04/20 13:02
このQ&Aを見た人はこんなQ&Aも見ています
関連するカテゴリからQ&Aを探す
おすすめ情報
このQ&Aを見た人がよく見るQ&A
デイリーランキングこのカテゴリの人気デイリーQ&Aランキング
-
バッチ処理 特定の文字以降を...
-
[コンパイルエラー 修飾子が不...
-
Access VBA エラー2448について
-
VBA テキストボックスを選択状...
-
パイソンでテキストファイルが...
-
verilog HDLについての質問です...
-
文字コードを指定して・・
-
【ExcelVBA】300万件越えCSVか...
-
ダブルコーテーション付きでCSV...
-
VBA csvファイルのデータを...
-
Excelマクロ 空白セルを無視し...
-
pythonでリストをCSVに出力する...
-
pythonのエラーについて
-
fortranでNAのあるデータを読み...
-
Fortran:列数の分からないデー...
-
vbaマクロについて 次のような...
-
複数のファイルをまたぐエクセ...
-
rubyプログラムでのフォルダ内...
-
ファイルサイズ
-
バッチコマンドで指定行を抽出...
マンスリーランキングこのカテゴリの人気マンスリーQ&Aランキング
-
バッチ処理 特定の文字以降を...
-
[コンパイルエラー 修飾子が不...
-
VBA テキストボックスを選択状...
-
Access VBA エラー2448について
-
teratermで、ファイル名をinput...
-
パイソンでテキストファイルが...
-
MS-DOSバッチファイルコマンド...
-
SQLでテキストボックスの文字を...
-
verilog HDLについての質問です...
-
フォームのResizeイベントについて
-
型の値をDataGridViewセルに変換...
-
ASP.NET (VB) データのnull(空...
-
分数の計算のプログラミングです。
-
バッチ for /f 空白、スペース...
-
ruby テキストファイル書き出し...
-
バッチファイル フォルダ名をフ...
-
アスキー変換 と 逆変換について
-
■PukiWikiの凍結解除方法を教え...
-
Excelマクロ 空白セルを無視し...
-
【ExcelVBA】300万件越えCSVか...
おすすめ情報