プロが教える店舗&オフィスのセキュリティ対策術

現在、VHDLの勉強をしていて
xilinxのサンプルソースを見たりしているのですが
その中にto_unsigned(x,7)という記述がありました。
to_unsigned(x,7)はどういった関数なのでしょうか?

ご存じの方がいましたらご教授願います。

また、to_integer()という関数がありますが、
conv_integer()との違いはあるのでしょうか?

こちらの方も宜しくお願い致します。

A 回答 (1件)

to_integer(a,b)とは、integerであるaをlength=bのunsingedに置換する関数です。


たとえばto_integer(128,8)とある場合には、integerの128を8bitのunsignedに変換する、という意味です。

conv_integerとto_integerは基本的には同一です。
使用するライブラリによって使い分けが必要です。
Synopsysのstd_logic_arithで定義されているのがconv_integer,IEEEのnumeric_stdで定義されているのがto_integerになります。
使用するライブラリ宣言によって使い分ける必要がある、という訳ですね。面倒ですが。

以上、ご参考まで。
    • good
    • 1
この回答へのお礼

詳細な説明、誠にありがとうございます。
大変良く理解できました!

お礼日時:2012/10/26 14:31

お探しのQ&Aが見つからない時は、教えて!gooで質問しましょう!