アプリ版:「スタンプのみでお礼する」機能のリリースについて

4ビットの配列型乗算器をVerilogで作成しているのですが、
assignの部分が分からず手詰まっているので教えてください。
fulladdの部分も合っているか不安です。
コード
module fulladd(A,B,CIN,Q,COUT);
input A,B,CIN;
output Q,COUT;
assign Q=A^B^CIN;
assign COUT=(A&B) | (B&CIN) | (CIN&A);
endmodule
module mult4p(a,b,p);
input [3:0] a,b;
output [7:0] p;
wire [2:0] co_0,q_0;
wire [2:0] co_1,q_1;
wire [2:0] co_2,q_2;
wire [2:0] co_3,q_3;

fulladd fa_0_0(.A(a[1]&b[0]), .B(a[0]&b[1]), .CIN(1'b0), .Q(q_0[0]), .COUT(co_0[0]));
fulladd fa_0_1(.A(a[2]&b[0]), .B(a[1]&b[1]), .CIN(1'b0), .Q(q_0[1]), .COUT(co_0[1]));
fulladd fa_0_2(.A(a[3]&b[0]), .B(a[2]&b[1]), .CIN(1'b0), .Q(q_0[2]), .COUT(co_0[2]));

fulladd fa_1_0(.A(a[1]&b[1]), .B(a[0]&b[1]), .CIN(1'b0), .Q(q_0[0]), .COUT(co_0[0]));
fulladd fa_1_1(.A(a[2]&b[1]), .B(a[1]&b[1]), .CIN(1'b0), .Q(q_0[1]), .COUT(co_0[1]));
fulladd fa_1_2(.A(a[3]&b[1]), .B(a[2]&b[1]), .CIN(1'b0), .Q(q_0[2]), .COUT(co_0[2]));

fulladd fa_2_0(.A(a[1]&b[2]), .B(a[0]&b[1]), .CIN(1'b0), .Q(q_0[0]), .COUT(co_0[0]));
fulladd fa_2_1(.A(a[2]&b[2]), .B(a[1]&b[1]), .CIN(1'b0), .Q(q_0[1]), .COUT(co_0[1]));
fulladd fa_2_2(.A(a[3]&b[2]), .B(a[2]&b[1]), .CIN(1'b0), .Q(q_0[2]), .COUT(co_0[2]));

fulladd fa_3_0(.A(a[1]&b[3]), .B(a[0]&b[1]), .CIN(1'b0), .Q(q_0[0]), .COUT(co_0[0]));
fulladd fa_3_1(.A(a[2]&b[3]), .B(a[1]&b[1]), .CIN(1'b0), .Q(q_0[1]), .COUT(co_0[1]));
fulladd fa_3_2(.A(a[3]&b[3]), .B(a[2]&b[1]), .CIN(1'b0), .Q(q_0[2]), .COUT(co_0[2]));

assign p[ 0]=
assign p[ 1]=
assign p[ 2]=
assign p[ 3]=
assign p[ 4]=
assign p[ 5]=
assign p[ 6]=
assign p[ 7]=
endmodule

質問者からの補足コメント

  • assign p[0]=a[0]&b[0]
    assign p[1]=q_0[0]
    assign p[2]=a[2]&b[0] + a[1]&b[1] + a[0]&b[2]
    assign p[3]=a[3]&b[0] + a[2]&b[1] + a[1]&b[2] + a[0]&b[3]
    assign p[4]=a[3]&b[1] + a[2]&b[2] + a[1]&b[3]
    assign p[5]=a[3]&b[2] + a[2]&b[3]
    assign p[6]=a[3]&b[2] + a[2]&b[3]
    assign p[7]=a[3]&b[3]
    endmodule
    これで構文エラーが出てしまいます。
    合ってると思うんですけど、どこがおかしいのでしょうか?

    No.1の回答に寄せられた補足コメントです。 補足日時:2021/07/10 17:51
  • セミコロンをつけるのを見落としていました。
    しかし実行結果が
    0a= 0(0000) b= 0(0000) p= 0(00000000)
    100000a=15(1111) b= 1(0001) p= X(000000x1)
    200000a=15(1111) b= 8(1000) p= X(111110x0)
    300000a=15(1111) b=15(1111) p= 65(01000001)
    となってしまいます。
    p[7]の部分は
    assign p[7]=co_0[0]+a[3]&b[3];
    なのですが、やはりここがおかしいのでしょうか?

    No.2の回答に寄せられた補足コメントです。 補足日時:2021/07/11 18:46
  • assign p[0]=a[0]&b[0];
    assign p[1]=a[1]&b[0] + a[0]&b[1];
    assign p[2]=a[2]&b[0] + a[1]&b[1] + a[0]&b[2];
    assign p[3]=a[3]&b[0] + a[2]&b[1] + a[1]&b[2] + a[0]&b[3];
    assign p[4]=a[3]&b[1] + a[2]&b[2] + a[1]&b[3];
    assign p[5]=a[3]&b[2] + a[2]&b[3];
    assign p[6]=a[3]&b[3];
    assign p[7]=0;
    endmodule
    すこし改善しました。
    200000a=15(1111) b= 8(1000) p=120(01111000)
    ここは正しくなりましたが、他が上手くいきません。。。
    やはりp[7]がおかしいからでしょうか。

    No.3の回答に寄せられた補足コメントです。 補足日時:2021/07/13 11:13

A 回答 (3件)

t=100000でxになるのは、p[1]がおかしいからです。


assign p[1]=q_0[0]のq_0[0]は定義されている?

p[7]のco_0[0]はどこから来ている?


ところで、どうしもそういうやり方をしなけばいけないんですか?1ビットづつ加算してp[*]を求めるやり方だと桁上がりが1ケタ上へだけでなく、2ケタ上まで達するようなケースもある(たとえば、1111*1111を筆算で計算してみればわかる)ので、処理がかなり面倒になります。

初心者なら、4ビットの部分積の加算を行うようにしたほうが簡単だと思いますが。

リンク先の ”(b) 加算手順” に図示されているやり方が一番簡単です。
http://www.kumikomi.net/archives/2010/07/ep21suc …
この回答への補足あり
    • good
    • 0

> これで構文エラーが出てしまいます。


まず文法の話。
assign文の最後にセミコロンを置いてますか?
それから、もしfulladdをまだ使っているのなら、fa_0_0とfa_1_0の出力のQとC_OUTがぶつかっています。他のfulladdのインスタンスにも同じ問題ががあります。


乗算に関しては、
まず、p[5]とp[6]が同じですが、これはおかしいですね。
p[7]はp[6]からの桁上がりのみなので、a[3]& b[3]とはなりません。p[6]= a[3]&b[3]です。
基本的な考え方自体はそのp[*]で正しい(p[6]とp[7]の式は間違っているけど)のだけど、桁上がりが考慮されていません。桁上がりが発生したときに、それを上位ビットに加算する必要があります。
この回答への補足あり
    • good
    • 0

論理をすべて読んでませんが、何かおかしいような気が...



例えば、a[1]&b[1]が何度も出てくるけど、一回で済むはずですよね。筆算をすればわかるけど、a[1]&b[1]は一度しか行われないので。

課題だろうから全部は解答しないけど、p[0]はa[0]&b[0]です。
p[1]はq_0[0]。
p[2]はa[2]&b[0] + a[1]&b[1] + a[0]&b[2] に加えて、さらに一つ下の桁(p[1])からのキャリーイン(co_0[0])がある。

{a[3], a[2], a[1], a[0]} * {b[3], b[2], b[1], b[0]}を紙の上で筆算をしてみればわかりやすいと思います。
この回答への補足あり
    • good
    • 0

お探しのQ&Aが見つからない時は、教えて!gooで質問しましょう!