VHDL記述の回路を設計というかプログラミングをしたのですが、出力が正しくありませんでした。内容は「加算・減算器」です。今回はオーバーフローを(考えてもいいのですが)考えないことにします。
記述内容は以下のようになっています。P_A で加算と減算を切り替えています。問題のボードへの出力ですが、
X_A + X_B = L_A としています。
0000 0000 0000
0001 0000 0001
0010 0000 0010
0011 0000 0011
0100 0000 0100
…
という風に X_A だけを 0 に統一した場合でも正しく出力されていますが、
0000 0001 0001
0001 0001 0000
0010 0001 0011
0011 0001 0010
0100 0001 0101
0101 0001 0100
…
このように、ビット同士の和の繰り上がりがきいてない感じなんですね。これって下の記述が間違ってるんでしょうか。それとも、ボード出力時のピンの当て方が間違ってるんでしょうか。詳しい方、アドバイスよろしくお願いします。
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity EX is
port ( P_A : in std_logic;
X_A : in std_logic_vector(3 downto 0);
X_B : in std_logic_vector(3 downto 0);
L_A : out std_logic_vector(3 downto 0) );
end EX;
architecture STRUCTURE of EX is
begin
process ( X_A, X_B, P_A )
begin
if P_A = '1' then
L_A <= X_A + X_B;
else
L_A <= X_A - X_B;
end if;
end process;
end STRUCTURE;
お探しのQ&Aが見つからない時は、教えて!gooで質問しましょう!
似たような質問が見つかりました
- Visual Basic(VBA) VBAの繰り返し処理について教えてください。 3 2022/08/02 13:21
- Excel(エクセル) VBAで組み合わせ算出やCOUNTIFSの処理を高速化したいです。 4 2022/04/07 02:38
- Visual Basic(VBA) excel2021で実行できないマクロ。どこを直したらいいのか 2 2022/03/28 03:40
- その他(プログラミング・Web制作) .htaccessファイルの修正がこれで問題ないかどうか 1 2022/04/21 08:42
- Excel(エクセル) エクセルVBAでオブジェクトが必要です 2 2022/09/10 16:37
- Excel(エクセル) エクセル VBA For Next 繰り返しの書き方を教えてください 6 2022/09/01 14:11
- Visual Basic(VBA) 【Excel VBA】自動メール送信の機能追加 5 2022/09/29 12:53
- Visual Basic(VBA) 追記する列を増やしたい 2つのデータを検索・照合して元データにないデータを下記マクロで商品名を追記し 9 2022/10/05 10:50
- Visual Basic(VBA) 【前回の続き続きです、ご教示ください】VBAの記述方法がわかりません。 2 2022/08/24 20:49
- Visual Basic(VBA) 列と行の名前(重複あり)が交差するセルに、データを入力したい 2 2022/06/25 22:42
関連するカテゴリからQ&Aを探す
おすすめ情報
デイリーランキングこのカテゴリの人気デイリーQ&Aランキング
-
PS4コントローラーをPCでゲーム...
-
アクセスでエクセルに出力する...
-
4Kの外部モニターに出力すると...
-
printfとputcharの違いは
-
コンセントの電力は入力と出力...
-
VBAでテキスト出力時のスペース...
-
ListViewの複数選択について
-
CRC16計算について
-
printfの書式%.*s
-
PCの設定「サウンド」の「出力...
-
makeで文字化けする。migwのmak...
-
アクセスで教えてください。 レ...
-
MMDでavi出力が出来ない
-
VBAで有効数字の設定
-
VBAのExecメソッドで画面を非表...
-
C# 標準出力のencodingをutf8に...
-
発電機の出力について
-
スマホのバッテリー消費につい...
-
C言語でのLinux再起動方法とは?
-
Windows Formアプリからコンソ...
マンスリーランキングこのカテゴリの人気マンスリーQ&Aランキング
-
PS4コントローラーをPCでゲーム...
-
4Kの外部モニターに出力すると...
-
プログラムについての質問です...
-
printfとputcharの違いは
-
cout と cerrの違い
-
COBOLのMOVEで桁数が異なる場合
-
テキストファイルから特定の文...
-
MMDでavi出力が出来ない
-
コンセントの電力は入力と出力...
-
アクセスでエクセルに出力する...
-
OBS配信すると、マイクが途切れ...
-
coutで出力した文字を消去する...
-
CRC16計算について
-
VBA レジストリの値の読み方に...
-
ACCESS クエリ→フォーム...
-
printfの書式%.*s
-
VBAで有効数字の設定
-
VBAでテキスト出力時のスペース...
-
VBAのExecメソッドで画面を非表...
-
makeで文字化けする。migwのmak...
おすすめ情報